CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FPGA ldpc

搜索资源列表

  1. ldpc

    0下载:
  2. 关于LDPC编码的MATLAB实现仿镇,求关于LDPC码的FPGA实现-LDPC coding on the fake MATLAB town, seeking LDPC on the FPGA
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:20799
    • 提供者:ngy68
  1. ddd

    0下载:
  2. DVB—S2中LDPC码编码器的FPGA设计与实现
  3. 所属分类:流媒体/Mpeg4

    • 发布日期:2008-10-13
    • 文件大小:244894
    • 提供者:sss
  1. FPGA-LDPC

    1下载:
  2. 用FPGA实现使用LDPC编码器和译码器-FPGA implementation by using LDPC encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:484429
    • 提供者:雷锋
  1. ldpc7_3

    1下载:
  2. the attached file consists of LDPC code (7,3). this code can be easily implemented on fpga kit(sparten-3)
  3. 所属分类:Communication

    • 发布日期:
    • 文件大小:2063
    • 提供者:babi
  1. ThedesignofLDPCEncodeasedonFieldProgrammGateArry.r

    0下载:
  2. In this paper, we introduce the priplince of the LDPC Encode. And introduce how to realize the LDPC encode based on the FPGA.-The design of LDPC Encode based on Field Programm Gate Arry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:611879
    • 提供者:SEU
  1. Realization_of_FPGA_for_LDPC_encoding

    0下载:
  2. 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:166294
    • 提供者:秦小星
  1. 63986089LDPC

    0下载:
  2. 数字电视传输系统中LDPC码编码器的研究与FPGA实现,详细介绍了编译码原理以及具体的实现过程-Digital TV transmission systems of LDPC encoder and FPGA implementation, detailing the encoding and decoding as well as specific realization of the principle
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:7283
    • 提供者:SHEIN
  1. FPGA-for-LDPC-encoding

    0下载:
  2. LDPC Encoding Ebook Tetourial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:166745
    • 提供者:moha
  1. ldpc-for-fpga-decoding

    0下载:
  2. ldpc译码算法的matlab实现,码长960,码率1/2,完全模拟fpga硬件实现语言,量化处理。-ldpc decoding using matalb,code length 960,code rate 1/2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19357
    • 提供者:shao
  1. the-decoding-algorithm-of-ldpc

    1下载:
  2. ldpc译码算法介绍及fpga verilog系统方案设计,包括log_bp算法、min_sum算法、scaling_min_sum算法等-introducing the ldpc code decoding algorithm and the related system design,including the log_bp,the min_sum and the scaling_min_sum
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-14
    • 文件大小:81513
    • 提供者:类春阳
  1. encoder_Z64_all_rate

    0下载:
  2. Wimax矩阵的LDPC编码器,已通过modelsim仿真测试,并前在altera的FPGA板上通过测试,码率5/6,可进入代码内部修改参数,支持2/3,3/4其他2个码率,数据吞吐量为700M-Wimax based LDPC encoder, modelsim simulation passed, also passed on altera FPGA board, code rate 5/6, also support 2/3,3/4, throughout 700m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:225376
    • 提供者:姜勇吉
  1. LDPC_FPGA

    1下载:
  2. LDPC码的FPGA实现,大家相互学习下-the code of LDPC implementation by FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:15208
    • 提供者:刘苍
  1. fpga

    0下载:
  2. ldpc码的FPGA编译与仿真实现,欢迎分享,分享快乐。-LDPC code compilation and simulation。
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2223
    • 提供者:风弦
  1. LDPC码编译码算法的研究与实现_陈石平

    1下载:
  2. 本文首先回顾了LDPC码的发展历程和现状,介绍了LDPC码检验矩阵的构造、编 译码原理。在对编译码作了深入探讨和分析后,接着进行了RU算法编码和长码编码 的FPGA实现;根据二叉树的性质,提出了一种长码编码的ASIC优化设计的方法,节省 了大量硬件资源;论文详细阐述了CORDIC算法原理以及LDPC码译码中所采用的指 数函数和反双曲正切函数的FPGA实现:CORDIC内核及前后处理单元设计、仿真、综 合及数据分析,这对LDPC码的译码具有很重要的意义,为用数字VLSI来实现LDPC的
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1529856
    • 提供者:斯蓝蓝
  1. ldpc_decoder_802_3an

    2下载:
  2. LDPC的编码模块和解码模块,实现802-3an协议的编码(The module of LDPC to implement the coding of the 802-3an protocol)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-24
    • 文件大小:1017856
    • 提供者:fengyuanzyt
搜珍网 www.dssz.com